SystemVerilog

SystemVerilog

SystemVerilog ist die erste Hardware-Beschreibungs- und Verifikationssprache (Hardware Description and Verification Language, kurz HDVL genannt). Sie bietet Möglichkeiten zum Design und zur Verifikation von digitalen Schaltungen. SystemVerilog wurde von Accellera entwickelt und ist eine Erweiterung des IEEE 1364–2001 Verilog HDL. Dabei arbeitet SystemVerilog aber auf einer höheren Abstraktionsebene als Verilog-2001.

Inhaltsverzeichnis

Geschichte

Verilog-1995 ist schon seit vielen Jahren am Markt und stellt neben VHDL die meistgenutzte Hardwarebeschreibungssprache dar. Die IEEE hat die Eigenschaften von Verilog-1995 erweitert, diese wurden in Verilog-2001 klassifiziert. Dieser Sprachumfang ist aber immer noch ungenügend für eine leistungsfähige Verifikation der in Register Transfer Level (RTL) beschriebenen digitalen Hardware. Daher haben die Entwickler oft andere Sprachen wie „e“ , Vera oder Testbuilder verwendet. Da SystemVerilog sowohl eine Hardwarebeschreibungssprache als auch eine Verifikationssprache ist müssen beide Teile der Sprache gesondert betrachtet werden. Der Hardwarebeschreibungsaspekt von SystemVerilog ist eine konsistente Weiterentwicklung des Verilog-2001 Standards. Anders sieht es hier bei dem Verifikationsaspekt von SystemVerilog aus. Dieser Sprachteil wurde maßgeblich durch Synopsys Vera beeinflusst und es handelt sich um eine objektorientierte, von Verilog-2001 deutlich abweichende, Syntax.

Die Standardisierung von SystemVerilog erfolgte in mehreren Stufen, deren Entwicklung jeweils etwa ein Jahr dauerte. Im Juni 2002 erschien SystemVerilog 3.0, im Mai 2003 die Version 3.1 und schließlich im April 2004 die ganz letzte Version SystemVerilog 3.1a.

Mit der Entwicklung von SystemVerilog 3.1 sollten die wichtigsten Verifikationstechniken und die Konzepte der Sprache 'C' in SystemVerilog integriert werden.

Allgemeines zu SystemVerilog

Einige der Eigenschaften von SystemVerilog:

  • Datentypen analog zu 'C': int, typedef, struct, union, enum
  • Dynamische Datentypen: struct, classes, "dynamic queues", "dynamic arrays"
  • Neue Operatoren und "built-in methods"
  • Erweiterte Programmsteuerung: foreach, return, break, continue
  • Semaphoren, Mailboxen und "events"
  • Klassen für objektorientiertes Programmieren
  • "assertions"
  • Code-Überdeckungs-Analyse
  • VPI-Erweiterungen.

Die IEEE hat SystemVerilog als IEEE-Standard 1800 genormt. Jeder mit C++-Erfahrung wird sich mit SystemVerilog schnell vertraut fühlen. Da SystemVerilog aber sehr viele Anleihen an C++ besitzt, wird es jemandem, der sich noch nie mit der objektorientierten Programmierung beschäftigt hat, schwerfallen, SystemVerilog im vollen Umfang zu nutzen.

SystemVerilog besteht aus drei Teilen. Dem schon seit längerem bekannten und standardisierten Verilog, ein zweiter Teil der das Schreiben von Assertions in SV definiert sowie dem Objekt orientierten Teil, der überwiegend/ausschließlich für die Verifikation verwendet wird.

Funktionsweise

Beispiel die Verwendung von Zeichenketten und Bildschirmausgabe

// Dies ist ein SystemVerilog Kommentar
string sv = "SystemVerilog";
string s;
s = {sv, " ", "ist echt einfach."}; 
$display ("%s\n", s); // Bildschirmausgabe: "SystemVerilog ist echt einfach."
s = {s, " - probiert es mal!"}; 
$display ("%s\n", s); // Bildschirmausgabe: "SystemVerilog ist echt einfach. - probiert es mal!"

Weblinks

Siehe auch

Verilog, SystemC


Wikimedia Foundation.

Игры ⚽ Нужен реферат?

Schlagen Sie auch in anderen Wörterbüchern nach:

  • SystemVerilog — est un langage de description et de vérification de matériel basé sur Verilog. Liens externes (en) Tutoriel sur SystemVerilog …   Wikipédia en Français

  • SystemVerilog — In the semiconductor and electronic design industry, SystemVerilog is a combined Hardware Description Language and Hardware Verification Language based on extensions to Verilog. SystemVerilog was created by the donation of the Superlog language… …   Wikipedia

  • SystemVerilog DPI — (Direct Programming Interface) is an interface which can be used to interface SystemVerilog with foreign languages. These Foreign languages can be a C, C++, System C as well as others. DPI s consists of two layers: A SystemVerilog Layer and a… …   Wikipedia

  • Systemverilog DPI — is an interface between SystemVerilog Hardware Description Language and a foreign programming language. It consists of two separate layers: the SystemVerilog layer and a foreign language layer. Both sides of DPI are fully isolated. Which… …   Wikipedia

  • Verilog — In the semiconductor and electronic design industry, Verilog is a hardware description language (HDL) used to model electronic systems. Verilog HDL , not to be confused with VHDL, is most commonly used in the design, verification, and… …   Wikipedia

  • Hardware description language — In electronics, a hardware description language or HDL is any language from a class of computer languages and/or programming languages for formal description of electronic circuits. It can describe the circuit s operation, its design and… …   Wikipedia

  • Verilog — Класс языка: Язык описания аппаратуры Появился в: 1983 1984 Автор(ы): Phil Moorby, Prabhu Goel Расширение файлов: .v Verilog, Verilog HDL (англ. Verilog Hardwar …   Википедия

  • Aldec — Infobox Company company name = ALDEC, Inc. company company type = Private foundation = 1984 location = Henderson, Nevada, United States flagicon|USA industry = EDA products = Active HDL, Riviera, SFM, HES homepage = [http://www.aldec.com… …   Wikipedia

  • Verilog — HDL ist neben VHDL die weltweit meistgenutzte Hardwarebeschreibungssprache. Inhaltsverzeichnis 1 Geschichte 2 Funktionsweise 3 Literatur 4 Siehe auch …   Deutsch Wikipedia

  • Code refactoring — Refactor redirects here. For the use of refactor on Wikipedia, see Wikipedia:Refactoring talk pages. Code refactoring is disciplined technique for restructuring an existing body of code, altering its internal structure without changing its… …   Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”